(GnP)


Program

29 October, 2021 (Zoom meeting)



09:30-09:35 Opening Remarks

     Workshop chair: Prof. Michio Uneda (Kanazawa Institute of Technology)

09:35-09:40 Activities Introduction of Japan Planarization CMP Committee under COVID-19

     Chair: Dr. Hirokuni Hiyama (Ebara Corporation)



09:40-10:10 Invited session: Marketing analysis

Session chair: Akira Isobe (ISTL Co., Ltd.)

“Market Trends Related to CMP Consumables - Considerations from Trends in Europe, USA and Asia”
     Michael Corbett
     (Linx Consulting)



10:10-11:10 Session 1: CMP technologies (3 presentations)

Session chair: Akira Isobe (ISTL Co., Ltd.)

“Hybrid CMP Slurry Supply System Using Ionization and Atomization”
     Hoseong Jo1, Dasol Lee1, Hirokuni Hiyama2, Masashi Kabasawa2 and Haedo Jeong1
     (1: Pusan National University, 2: Ebara Corporation)

“Clarification of polishing mechanism focusing on abrasive particle behavior in CMP -Quantification of abrasive particles retained in the contact area of polishing pads with different removal rates-“
     Syuntaro Hayashi1, Syuhei Kurokawa1, Terutake Hayashi1, Naoyuki Handa2, Yutaka Wada2, and Hirokuni Hiyama2
     (1: Kyushu University, 2: Ebara Corporation)

“Fabrication of an atomically smooth polycrystalline surface without grain boundary steps using catalyst-referred etching”
     Daisetsu Toh1, Pho Van Bui1, Satoshi Matsuyama2, Yasuhisa Sano1, and Kazuto Yamauchi1
     (1: Osaka university,2: Nagaya university)



11:30-12:10 Session 2: Process control and consumables (2 presentations)

Session chair: Norikazu Suzuki (Chuo University)

“In-Situ Prediction of Removal Rate utilizing Neural Networks in Chemical Mechanical Polishing of Sapphire”
     Shunpei Ota1, Michio Uneda1, Yuko Yamamoto2, Tadakazu Miyashita2, and Ken-Ichi Ishikawa1
     (1: Kanazawa Institute of Technology, 2: Fujikoshi Machinery Co.)

“Investigations of the Functional Slurry Application in Chemical Mechanical Polishing”
     Pengzhan Liu1, Changmin Kim1, and Taesung Kim1,2
     (1: Sungkyunkwan University, 2: SKKU Advanced Institute of Nanotechnology)



12:10-13:40 Lunch break



13:40-15:00 Session 3: Cleaning - cleaning mechanism, PVA brush - (4 presentations)

Session chair: Syuhei Kurokawa (Kyushu University)

“Megasonic Cleaning phenomena and efficiency evaluation of Silica nanoparticle on a SiO2 surface by Evanescent Field”
     Yutaka Terayama1, Panart Khajornrungruang1, Keisuke Suzuki1, Ryotaro Mori1, Satomi Hamada2, Yutaka Wada2, Hirokuni Hiyama2
     (1: Kyushu Institute of Technology, 2: Ebara corporation)

“Measurement of near-surface brush volume ratio and nodule volume change for moving PVA brushes”
     Atsuki Hosaka1, Yuki Mizushima1, Satomi Hamada2, Ryota Koshino2, Akira Fukunaga2, and Toshiyuki Sanada1
     (1: Shizuoka University, 2: Ebara Corporation)

“Study on the effect of copper ions on brush loading during post-Cu-CMP cleaning”
     Sanjay Bisht1, Samrina Sahir1, Jerome Peter1, Tae Hyeok Kang1, Nagendra Prasad Yerriboina1, Tae-Gon Kim1, Satomi Hamada2, Jin Goo Park1
     (1: Hanyang University, 2: EBARA Corporation)

“Study of ceria penetration inside the PVA brush during post-CMP cleaning process”
     Tae Hyeok Kang1, Samrina Sahir1, Jerome Peter1, Sanjay Bisht1, Nagendra Prasad Yerriboina1, Tae-Gon Kim1, Satomi Hamada2, Jin-Goo Park1
     (1: Hanyang University, 2: EBARA Corporation)



15:20-16:20 Session 4: Cleaning - corrosion - (3 presentations)

Session chair: Yukiteru Matsui (KIOXIA Corporation)

“Formula Development and Characterization of Anti-Corrosive Post-CMP Cleaner in W-CVD Process”
     Di-Hong Xu1, Kuen-Song Lin1, You-Sheng Lin1, Rong-Xian Chang2, Shih-Fu Chou2, Kuei-Sen Cheng2
     (1: Yuan Ze University, 2: Chang Chun Petrochemical CO., LTD.)

“Effect of Dissolved Oxygen on Rate of Corrosion of a Copper Surface”
     Masahito Abe, Yumiko Nakamura, Chikako Takatoh
     (EBARA Corp.)

“Control of galvanic coupling of W and Ti/TiN during W post-CMP cleaning”
     Punathil Meethal Ranjith1, Palwasha Jalalzai1, Muskan1, Bichitra Nanda Sahoo1, Nagendra Prasad Yerriboina1, Tae-Gon Kim1, Geon Ja Lim1, Bongkyun Kang2, Andreas Klipp3 and Jin-Goo Park1
     (1: Hanyang University, 2: Electronic Material R&D Center Asia, BASF, 3: BASF SE)



16:30-16:40 Awarding ceremony for students

     Vice chair: Dr. Seiichi Kondo (Showa Denko Materials Co., Ltd.)



16:40-16:45 Closing remarks

     Program chair: Prof. Yasuhisa Sano (Osaka University)


Copyright © 2021- AWPT, All Rights Reserved

The Planarization and CMP Technical Committee,
The Japan Society of Precision Engineering (JSPE)